User Tools

Site Tools


Sidebar

Go Back

Refresh

You are not allowed to add pages

Direct Link

library:fpga:microblaze

ISE14.7建立Microblaze工程步骤


建立和测试一个完整的Microblaze工程并运行Demo程序。

1. 建立FPGA工程

新建一个FPGA工程,与Microblaze无关

1.1 新建工程

在ISE Project Navigator中,点【New Project…】,填写相关信息:

Name: Test
Location: E:\TestMicroblaze\Test
Working Directory: E:\TestMicroblaze\Test
Top-level source type: HDL

点【Next】填写项目设置:

主要参数(基本上都是默认参数):
Device: XC6SLX25
Preferred Language: Verilog

点【Next】,检查Project Summary
点【Finish】
一个空工程建立完成。

1.2 添加工程文件

添加顶层模块

编写一个顶层文件E:\TestMicroblaze\Test\code\top.v,并添加到工程。

`timescale 1 ns / 1 ns

module top
(
input     clk,
input     rst_n,
output    led
);

reg        	    led_r;
reg  [23:0]     cnt;

assign led = led_r;

always @( posedge clk or negedge rst_n )
begin
    if( !rst_n )
        cnt <= 0;
    else
        cnt <= cnt + 24'd1;
end

always @( posedge clk or negedge rst_n )
begin
    if( !rst_n )
        led_r <= 0;
    else if( &cnt )
        led_r <= ~led_r;
    else ;
end

endmodule

添加约束文件

编写一个约束文件E:\TestMicroblaze\Test\top.ucf,并添加到工程。

NET "clk"	LOC = T8;
NET "rst_n" 	LOC = R5;
NET "led" 	LOC = T13;

测试工程

1)对工程完成布线,生成加载文件E:\TestMicroblaze\Test\top.bit
2)使用iMPACT加载top.bit到FPGA
结果:
LED闪烁

测试结果正确,说明顶层模块代码正确,FPGA管脚分配正确,时钟运行正常,IO工作正常

至此,工程建立完成。

2. 加入Microblaze模块

2.1 配置Microblzae

1)右键,【Add Source】【Embedded Processor】,填写名称和路径,打开XPS 2)设置Processor Select an Interconnect Type: PLB System
……
System Configuration: Single-Processor System
……
System Clock Frequency: 50.00
……
Add IO Devices for Generic Board:
UART: Baud Rate: 115200
LEDS: GPIO Data Width: 1

Summary:
Instance Name   Base Address  High Address
RS232           0x84000000    0x8400FFFF
LEDS            0x81400000    0x8140FFFF

2.2 导出到SDK

【Project】【Export Hardware Design to SDK…】【Export Only】,Done,关闭XPS
在ISE中选中Processor,【Export Hardware Design To SDK without Bitstreem】(E:\TestMicroblaze\Processor25\workspace)
在ISE中选中Processor,【Generate Top HDL Source】
在ISE中选中Processor,【Export Hardware Design To SDK with Bitstream】,完成后自动打开SDK

2.3 代码编写

1) 建软件工程 【File】【New】【Application Project】中配置工程参数
Project Name:demo_led
Avaliable Templates: Peripheral Tests 2) 运行 【Run Configurations…】【Xilinx C/C++ Application(GDB)】

3. 预测试工程

4. 建SDK工程

5. 编写软件及测试

library/fpga/microblaze.txt · Last modified: 2022/05/02 00:32 (external edit)